UVM/REG/DUPLROOT issue in UVM RAL

Hello

I have a TB where the env is instantiated twice for the purpose of different modes. The problem is I have a RAL model built in the env and since this is being instantiated twice it is throwing the error "There are 2 root register models named “m_eth_rm”. The names of the root register models have to be unique as the ral model is being created twice with same name .
". I cannot bypass one env as it is required how do we solve this issue in RAL without modifying TB.

thanks