UVM Wrapper

Hi
Can anyone please suggest how to create a UVM wrapper of the verilog module based BFM?

Thanks in advance
Silpa

In reply to M SILPA:

https://verificationacademy.com/forums/ovm/ovm-wrapper-verilog-bfms

Thanks for the reply.