Uvm Virtual

Why is do_copy a virtual function in uvm object. I know that it used for overriding , but can anyone pls explain that in detail

In reply to Mandavilli Sai Venkatesh:

Please look here. This is a paper from Dave Rich:
https://www.edn.com/inheritance-and-polymorphism-of-systemverilog-oop-for-uvm-verification/