UVM Verbosity settings for sequence/objects

Hi,

Is there anyway i can use the UVM_verbosity settings to control messages on uvm sequences or uvm_object? I understand that it is for components. But wondering if there is any other way we can use it instead of turning on and off using +UVM_VERBOSITY options.



+uvm_set_verbosity=<comp>,<id>,<verbosity>,<phase|time>,<offset>
+uvm_set_action=<comp>,<id>,<severity>,<action>

In reply to rag123:

It seems like you answered your own question. Any class not derived from uvm_component, or more specifically uvm_report_object, uses a global report_object uvm_top. Then you can use a specific < id > to control messages from a uvm_sequence.

Hi,

Can you give more explanation how to use a specific < id > to control messages from a uvm_sequence.

I am also in a similar case, I have a sequence that call a function in uvm_report_object class and need to get the verbosity in the sequence in order to set it to the uvm_report_object class.

BR.