UVM Sequencer

Hi
If I am driving the signals to DUT from driver using $random, is it possible to avoid creating Sequencer in this scenario?
PS- The agent is supposed to be an active agent because of my above requirement.

In reply to bhimac:

As they say: “Anything is possible, it’s only software!”

The answer depends on how much you need to control this stimulus on a per test basis? And is there any synchronization needed between other agents or interfaces. If the answer yes, maybe, or might be a future possibility, then you should stick with using sequences/sequencers.

BTW, you should be using $urandom instead of $random for stability and seed control from the command line.

In reply to dave_59:

Hi Dave
Thank You. I got my answer but can you please elaborate when you say " is there any synchronization needed between other agents or interfaces. If the answer yes, maybe, or might be a future possibility, then you should stick with using sequences/sequencers."
Can you provide me an example so that I can understand it better?