UVM Reporting

I am tried to change the action of UVM_INFO to like UVM_FATAL
by using uvm_top.set_report_severity_action_hier(UVM_INFO , UVM_DISPLAY|UVM_EXIT);
But during that uvm_info is not exiting the simulation .
CAN ANY one give me the solution??

In reply to Om Ganesh B k:

You are using the wrong methods.
(1) function void set_report_severity_override( uvm_severity cur_severity, uvm_severity new_severity) overrides all appearnces of a certain severity to a new one
(2) function void set_report_severity_id_override(uvm_severity cur_severity, string id, uvm_severity new_severity) overrides all appearnces of a certain severity for a specific id to a new one.