UVM register Byte Enable

Does UVM support frontdoor register write to certain bytes only, by using the byte_en in uvm_reg_bus_op?
the write task in uvm_reg.svh doesn’t have the option for us to specify byte enable.

In reply to Beginner:

The byte_en is related to the bus and not to the register. The UVM Reference Manual is saying:
“Enables for the byte lanes on the bus. Meaningful only when the bus supports byte enables and the operation originates from a field write/read.”