UVM RAL Related

HI All,

I have a general idea about the UVM RAL. But cannot figure out below things …

  1. Could you please let me know what is significance difference the uvm_reg_predictor & uvm_reg_adapter ?
  2. Please also focus on why both inherited from different uvm class like uvm_object and uvm_component?

Please do not hesitate to answer if you know something on this. That might help me ti think in different directions.

Thanks in advance.

Regards,
Anirban

In reply to anirban_pande:

Basic difference is that uvm_reg_adapter is used to translate uvm_reg_item transactions to design bus transactions (AXI, AHB etc) and vice versa. uvm_reg_predictors are passive components which monitors the bus transactions and updates registers data accordingly. uvm_reg_predictors has a handle to bus monitor analysis port and reg_adapter to get the bus transactions.

For more details just go thorugh source and try an small example.

Thanks,
Rohit