Uvm ports

Sir
why the objects for ports are created by new() but not by type_id::create?Can we use type_id::create to form the object of ports?

In reply to swadheen:

You only use the create() method with classes that have been registered with the factory. Many UVM classes are not registered with the factory because they are not supposed overridden. Later revisions of the UVM have more classes registered so that object construction becomes more uniform, but this comes at a cost of more overhead for classes that will never be overridden.