Uvm package in eda playground

Does any simulator in eda playground support the use of uvm package?

In reply to Athulv:

Yes. You can see many example in here: Search Playgrounds

I believe all of the commercial simulators support UVM.

Please use the forums on EDAPlayground for further questions about their site.