UVM Factory

my environment has 2 independent drivers. for some test i need to drive the data using driver 1 and other test i need to drive the data using driver2. is there any way to implement this using factory ?. Note: driver 1 and driver 2 are independent.

Thanks!

In reply to Ramachandran168:
You can certainly use the factory for this. However, since uvm_driver is not registered with the factory, you need to create a common base class for your drivers.

In reply to Ramachandran168:

Could you please explain in some more detail what ‘independent driver’ means. Are there 2 different drivers for the same interface or do you have 2 different interfaces with a corresponding driver.