UVM Error

I am getting this constant error during UVM simulation…
“UVM_ERROR @0:uvm_test_top.env.agent.seq_r [uvm_test_top.env.agent.seq_r] Get_next_item called twice without item_done or get in between”

Any possible ways to mitigate this error?
urgent help needed?

can you post your driver class code,
most probably error in driver run_phase

In reply to OmerTariq:

I am getting this constant error during UVM simulation…
“UVM_ERROR @0:uvm_test_top.env.agent.seq_r [uvm_test_top.env.agent.seq_r] Get_next_item called twice without item_done or get in between”
Any possible ways to mitigate this error?
urgent help needed?

You should read the error message carefully. It points you to the driver code., calling get_next_item/item_done as a pair. Look to the run_phase in your driver code.