Uvm constructor

class rpting extends uvm_component;
function new(string name,uvm_component parent);
super.new(name, parent);
endfunction
in this constructor parent refers to rpting or uvm_component?

In reply to agoel:

See the discussion about parent and child in this thread.