Uvm config db context - what method is called on this to get a string?

The config db set and get methods first argument is “uvm_component cntxt”. I think to understand that in the config db this cntxt is translated to a string that together with the inst_name and field_name are used to search the database.

What method does the config db use to translate the cntxt to a string?

1 Like

In reply to NiLu:

The typical values for contxt are ‘null’ to define an absolute path and ‘this’ to define a relative path.
The first and the second argument are defining the scope which is used to store config data in the config database. Finally it represents a concatenation like this:
{this.get_full_name(), “.”, path}
this.get_full_name: is the contxt and
path is the second argument.