UVM Backdoor Access

While using add_hdl_path method to map a register to DUT, would I have to map individual bits to its flops on the DUT or can I just map the entire register to the DUT?
For example, say I have a 32 bit register and its corresponding rtl is multiple flops for each of the bits of the register. How would I add the hdl path to the register?

In reply to Vaishnavi Balasubramanian:

Or would I be using add_hdl_path_slice for individual fields?
Further, is it required to use the method in the build phase of the register or can I call the add_hdl_path on an instance of the object(register)?

In reply to Vaishnavi Balasubramanian:

Yes, add_hdl_path_slice can help. You might want to read this.

In reply to dave_59:

Is it required to use the method in the build phase of the register or can I call the add_hdl_path on an instance of the object(register)?