UVM analysis port

How analysis port differ from normal port in terms of implementation?Thanks

In reply to ashutosh1122:

Analysis port has inbuilt write() method, which doesn’t consume time, regardless number of subscriber connected to it.
That’s why Analysis port mainly used to send data from monitor to other subscriber like Scoreboard, Coverage collector.
You can check for code examples from UVM UserGuide.

In reply to kapil_kumar:

ok .Got it thnak you…
One more query is it like implementation of write will be present in export,and whichever export have implementation of write is compatible for connection with analysis port???

In reply to ashutosh1122:

Yes, We can provide the implemetation of write().
uvm_subscriber has inbuilt analysis_export. So we can just directly provide the write() implementation and connect analysis_port to analysis_export.
For more info you can refer example from Universal Verification Methodology (UVM) 1.2 User’s Guide , Section - 2.3.3
Hope this will be helpful.