Using: uvm_test_top.set_report_verbosity_level_hier()

Hi,

If I want to assign all the components to have a specific verbosity, using next line:
uvm_test_top.set_report_verbosity_level_hier(UVM_HIGH)

Where is best to put this line in environment?
Is the uvm_test_top member is accessible from any class or I should retrieve it somehow?

Thanks!

In reply to Michael54:

You do not put his to the config_db, therefore no need to retrieve it anywhere.
A good approach is it to put this in a function before starting the run_phase.
Use

uvm_top.set_report_verbosity_level_hier(UVM_HIGH);

This includes also the toplevel module. _hier means it is valid for all levels of hierarchy below.