Using two sequence items to parametrize a sequence. e.g. class some_seq extends uvm_sequence #(a_itm,b_itm);

Hi users,

Can we use two sequence items to be generated on a single sequence class?

Here is what I am trying to do.

//

class some_seq extends uvm_sequence #(a_itm,b_itm);

task body();
  start_item(a_itm);
    a_itm.randomize();
  finish_item(a_itm);

  start_item(b_itm);
    b_itm.randomize();
  finish_item(b_itm);
endtask

endclass: some_seq

Can I do this?

I have a_itm and b_itm going to two different interfaces of a same dut. Can I use this sequence class in a single agent and generate these two sequences with a signle driver to drive two interfaces?

Thanks for reading.