Usgae of UVm 1.2 with DPI

"Error: (vsim-3197) Load of “/uvm-1.2/src/lib/uvm_dpi.so” failed: uvm-1.2/src/lib/uvm_dpi.so: undefined symbol: m__uvm_report_dpi.
Failed to load DPI object file while trying to resolve ‘uvm_hdl_check_path’ "

I am facing above issue when using UVM1.2 with compiled shared object file for DPI.

Thanks,
-Yashwanth

In reply to yaswanth021:
Please see Problem generating "uvm_dpi.dll" for UVM1.2 for QuestaSim 10.2c in 64 bit Windows | Verification Academy