Use uvm field macros with clone()/copy()?

Does my sequence item need to be using the uvm field macros to use the clone()/copy() methods? If I don’t use the field macros, will I need to implement my own copy() methods?

In reply to VerifEx:

Yes, you need to write code to perform the copy/clone. The clone() is trivial. There is an application note explaining how to write these methods.