Use of sub phases of uvm_run phase

Hi All,

Why we do not use the sub phases(pre_main, main…) of uvm run_phases?

Thanks

In reply to rahulkumarkhokher@gmail.com:

This topic has been covered before. You may want to refer to Tom’s reply here
https://verificationacademy.com/forums/uvm/phases-uvm

Hope this helps. If it does not fully answer your question, you may want to
request additional info.