Usage of interface signals in uvm_objects

I have an class which extends from uvm_object. I have certain common methods that I would be using in drivers and components. I need to access interface signals like clk and rst for certain operations inside this method.
Is it possible to use the virtual interface signals.

Any class may contain a variable of any type, so it is certainly possible for your class to have a virtual interface variable. You can either pass a handle to the interface each time you call the method, or set it once when right after you construct the class object.