Unrecognized declaration 'enet_env' could be an unsupported keyword, a spelling mistake or missing instance port list '()' [SystemVerilog]. Geting this error

It is showing that the environment is an unsupported keyword. Can you tell me what is wrong? I am pasting my code below


class enet_env extends uvm_env;

        `uvm_component_utils(enet_env)

        function new (string name = "enet_env" , uvm_component parent);
                super.new(name,parent);
        endfunction

        axis_master_agnt axis_m_agent;
        axis_slave_agnt axis_s_agent;
        axil_master_agnt axil_m_agent;
        ethernet_tx_agnt eth_tx_agent;
        ethernet_rx_agnt eth_rx_agent;

        //virtual_sequencer v_s;

        //env_config e_cfg;

        enet_coverage e_cov;

        eth_to_axi_scoreboard e_a_sb;
        axi_to_eth_scoreboard a_e_sb;

        function void build_phase(uvm_phase phase);
                super.build_phase(phase);

                axis_m_agent=axis_master_agnt::type_id::create("axis_m_agent",this);
                axis_s_agent=axis_slave_agnt::type_id::create("axis_s_agent",this);
                axil_m_agent=axil_master_agnt::type_id::create("axil_m_agent",this);
                eth_tx_agent=ethernet_tx_agnt::type_id::create("eth_tx_agent",this);
                eth_rx_agent=ethernet_rx_agnt::type_id::create("eth_rx_agent",this);

                //v_s=virtual_sequencer::type_id::create("v_s",this);

                //e_a_sb=eth_to_axi_scoreboard::type_id::create("e_a_sb",this);
                //a_e_sb=axi_to_eth_scoreboard::type_id::create("a_e_sb",this);

                if (uvm_config_db #(env_config)::get(this,"","env_cfg",e_cfg))
                        `uvm_fatal ("build_phase","Did not get configuration objects for env")
        endfunction
endclass

------- My test class code -----


class eth_test extends uvm_test;

        `uvm_component_utils(eth_test);

        //component of environment
        enet_env env;

        function new(string name= "eth_test", uvm_component parent);
                super.new(name, parent);
        endfunction

        function void build_phase(uvm_phase phase);
                super.build_phase(phase);

                env=enet_env::type_id::create("env",this);

        endfunction
endclass

In reply to kevin488:

This error indicates that the compiler doesn’t know the type of ‘enet_env’. Make sure that you import the package containing the required class definition(s).