Uninitialized virtual interface object

Hi ,

I am seeing a wierd error in run time

Below is the error
Error : uninitialized virtual interface object

0 in protected code.

The error ia not pointing to any file either. I have many interfaces in my Tb

I am clueless qhere to look at. Can someone share any inputs

Thanks
Deeprhi

In reply to Deepthip:

In most cases the simulator is issuing more information around this error message.
To identify the place where it happens you can include diagnostic messages in the drivers/monitors. This is wher the virtual interfaces are used.

In reply to Deepthip:

This is because you are running encrypted code, and the tools is unable to provide the information about where the problems is located. You will need to contact the vendor(s) who provided the code, and/or the tools for ways to handle this problem.

In reply to dave_59:

Thanks dave for the response. But i am not running any encrypted code also i do have complete access. Not sure how to debug this.

Thanks
Deepthi

In reply to chr_sue:

Thanks chr_sue for response. No I am not seeing any other message except that it is pointing to run_test() method in my top file.

Thanks
Deepthi

In reply to deepthipatawardhan:

There are certainly more details that you could provide.

How far does the simulation get before you see this error? Are you already in the run_phase? The UVM provides phase tracing for debug.

What was the “good” last message that came out? Are you able to single step your code from that point? You may need to cont

https://verificationacademy.com/verification-horizons/june-2012-volume-8-issue-2/Better-Living-Through-Better-Class-Based-SystemVerilog-Debug

You may also want to contact your tool vendor for other debugging options.