Two dimensional static array field registration

Hi All,
Is there a way to register a two dimensional array?
Like a one dimensional array.

 Ex....
 bit [3:0]one_dim_array[6];
 bit [3:0]two_dim_array[6][14];

`uvm_object_utils_begin(...)
   `uvm_field_sarray_int  (one_dim_array   ,UVM_ALL_ON)
   `uvm_field_sarray_int  (two_dim_array   ,UVM_ALL_ON)
`uvm_object_utils_end


 But i am facing the error in two_dim_array declartion.
 Error : An expression with an unpacked array datatype in not allowed in this context.

Thanks in Advance
Rajaraman R

In reply to Rajaraman Rak7:

Hi All,
Is there a way to register a two dimensional array?
Like a one dimensional array.
Ex…
bit [3:0]one_dim_array[6];
bit [3:0]two_dim_array[6][14];
uvm_object_utils_begin(...) uvm_field_sarray_int (one_dim_array ,UVM_ALL_ON)
uvm_field_sarray_int (two_dim_array ,UVM_ALL_ON) uvm_object_utils_end
But i am facing the error in two_dim_array declartion.
Error : An expression with an unpacked array datatype in not allowed in this context.
Thanks in Advance
Rajaraman R

Please look at this

It is highly recommended to avoid using automation macros as they can lead to major performance penalties.

HTH

In reply to rgarcia07:

In reply to Rajaraman Rak7:
It is highly recommended to avoid using automation macros as they can lead to major performance penalties.

More than highly recommended. There are no field macros that deal with unpacked arrays of more than one dimension.

In reply to dave_59:

Thanks All,
Still now i am not using more than one unpacked array.