Transaction in uvm

How to drive 100 transactions at once onto the interface

In reply to SaratK:

In the interface you can drive the transactions only one-by-one. But you can generate a series of 100 transaction using a loop construct like

repeat (100)