Is there a function used to set the report verbosity level of a component, can using wildcard like set_inst_override_by_type

I have many scoreboards in different hierarchies, in some cases, i want to control the report verbosity level of all scoreboards;
UVM offers set_report_verbosity_level to set specified component report level, but when i have may components in different hierarchies to set report level, a way to set report level can use wildcard is needed…

thanks for help…

In reply to jalov:

Using et_report_verbosity_level is not really a good approach, because if you are modifying the verbosity through this construct you have to recompile your complete UVM environment. The function et_report_verbosity_level might be useful during development.
But in generak you should define your verbosity when coding your scoreboards, setting the compare details to UVM_HIGH and the result pass or fail to UVM_LOW. Then you can set your UVM_VERBOSITY from the command line during development to UVM_FULL and during regressions to UVM_MEDIUM