Is there any functions in UVM,that can override the verbosity in particular components?

Hi,

I want to know can we control the verbosity level for particular component in the uvm environment,normally we give in the command line +UVM_VERBOSITY = UVM_LOW.This will effect the whole environment.But i want to set exclusively for a component.is it possible?

Thanks in Advance,
Subhash

Hi Subhash,

You can use below command in command line to set verbosity for particular component.

+uvm_set_verbosity=,,, and +uvm_set_verbosity=,,,time, allow the users to manipulate the verbosity of specific components at specific phases (and times during the “run” phases) of the simulation. The id argument can be either ALL for all IDs or a specific message id. Wildcarding is not supported for id due to performance concerns. Settings for non-”run” phases are executed in order of occurrence on the command line. Settings for “run” phases (times) are sorted by time and then executed in order of occurrence for settings of the same time. For example:

+uvm_set_verbosity=uvm_test_top.env0.agent1.*,ALL,UVM_FULL,time,800

Please let me know how it goes.

Thanks,
Chetan Shah