UVM_ERROR *********/uvm-1.2/src/seq/uvm_sequencer_base.svh(757) @ 0: uvm_test_top.env.agt.seqr [SEQREQZMB] The task responsible for requesting a wait_for_grant on sequencer ‘uvm_test_top.env.agt.seqr’ for sequence ‘uvm_test_top.env.agt.seqr.axi_master_random_sequence’ has been killed, to avoid a deadlock the sequence will be removed from the arbitration queues.
**
How to solve this error???
Below is my simple sequence.
class axi_master_random_sequence extends uvm_sequence #(axi_master_sequence_item);
`uvm_object_utils(axi_master_random_sequence)
function new(string name = "axi_master_random_sequence");
super.new(name);
endfunction
virtual task body();
super.body();
repeat (10) begin
`uvm_do(req);
end
endtask
endclass
Below is my run_phase of the driver class
task run_phase(uvm_phase phase);
forever begin
seq_item_port.get_next_item(req);
drive_to_dut(req);
seq_item_port.item_done();
end
endtask
Also i have the sequencer declared in Agent class.
typedef uvm_sequencer #(axi_master_sequence_item) axi_master_sequencer;
Please give me some inputs to solve this error??
**
Thanks,
Siva**