Stop Sequence

I am starting 1 sequence in run phase of base test which is checking activity on interface it will raise fatal error after it detects no activity till 200us. Now I am extending base test in another test and here I don’t want that fatal error to come if there is no activity on interface for 200us. So it means I need to stop that sequence which is running in base test. I tried solutions from other forums like using kill() in run phase of extended test but it didn’t work for me.
Yaa I need that activity detector sequence in other tests so can’t completely remove from base test so I just need to somehow disable that particular sequence only in my extended test.
In my base test other sequences are also running so I can’t stop all sequences of base test. I just want to stop particular sequence of base test in through extended test.
I had taken handle of sequence in base test and also created in build phase of base test.

Kindly help me in these as I am new to UVM.

Thanks in Advance

In reply to om30:

I do not believe it is agood idea to check any activity on your pinlevel interface. Instead I’d use a property checking this.
But of cause there is a solution for your for your appraoch. You can use a flag in your seq_item which will enable/disable the checking.

You can use virtual function/task. place your code where you are running sequence in one task and override it inside extended class.