Steps to run an UVM example?

Hello all,

Can someone plz tell me what are the proper steps/commands to follow to run an UVM example.

Thanks and regds,
Swapnil

Hi Swapnil,

I assume you are using Questasim and you are aware of the traditional simulation flow with it (vlog, vopt, vsim). But no matter what the tool use, the underlying concept will be the same.

The only different from the traditional flow is that you need to

i) either compile the UVM library package upfront and import it in your testbench files (using import). This has to be done before you compile the testbench.
ii) or, you can compile the library package along with your TB by adding the following arguments to vlog command: +incdir+$UVM_HOME/src/ $UVM_HOME/src/uvm_pkg.sv.

Thanks,
Rajkumar.

In reply to S.P.Rajkumar.V:

Thanks for the reply sir. That helped me.