Snipett

class agent extends uvm_agent;
task run_phase(uvm_phase phase);
phase.raise_objection(this);
#200;
phase.drop_objection(this);
endtask

in the above code what meant by “this”.

In reply to lalithjithan:
Trying to learn SystemVerilog and UVM by asking about one construct at time is going to get you ignored by a lot of people. Try looking for the many on-line tutorials. I have one at http://go.mentor.com/SV-OOP that explains the use of ‘this’.