Single signal can accessing a multiple interface and multiple driver?

Hi,
I am using a single signal(clock).In the clock signal using two different interface and two different driver on the same time.In my situation one driver get correct value but another driver get wrong value.
Any solution to overcome the problem

Thanks in advance
Rajaraman

In reply to Rajaraman Rak7:

It is a Little bit confusing what you are saying.
I guess you have in each Interface a clock signal and you want to drive these clock signals with the same clock. Right?
If so, then you have to connect in toplevel module of your testbench each interface clock with the one clock which is generated in the toplevel. That’s all.