SIMULATION_ERROR

Hi,

There is simulation error(code interrupted) for my uvm code,
i tried it but i did not get any result.

Thanks,
PJ

In reply to PJ:

Run your example with Riviera. This points you to the weaknesses in your design/testbench, causing the error.

In reply to chr_sue:

Hi,

I’m run with the code using Riviera, it is hanging

In reply to PJ:

But it is issuing thw errors the simulator found.

In reply to PJ:

Please review the clocking block code it’s a nightmare. Consider the directions. A CB input is an output in the design.

In reply to PJ:

There are lots of errors in your design. Since the simulators EDAPlayground uses are usually older version, I would try using all available versions to get all the errors visible. The one you are using in nit catching them correctly.