Simulation not ending

Hi,

I am running UART UVM TB, hen I hit run -all simulation is not ending. I have used $finish but still its not ending. Help me get through this scenario.

Thanks.

In reply to sujay_rm:

A UVM test will end when all objections in the time consuming phases are dropped. You should never be calling $finish as part of your test.

If your test is not finishing, you need to determine what objection is preventing it from ending.

In reply to sujay_rm:

Hi,
I am running UART UVM TB, hen I hit run -all simulation is not ending. I have used $finish but still its not ending. Help me get through this scenario.
Thanks.

Could you please explain where you were implementing objections? If you have objections in different objects this might be the reason. You should simplify your objection stratgy, i.e. havin an objection mechanism in the test only.

In reply to chr_sue:

Thanks for the reply, the problem got fixed. Thank you