Should default_sequence mechanism be replaced by start method considering UVM DEPRECATED code?

Hi,

I would like to ask what would be the best approach to start sequences on a sequencer, keeping in mind that UVM currently have some of the deprecated code which may be or may be not get removed in future.

a) start method
b) default_sequence

Best Regards,
Usman

You should NOT use the default_sequence. You should start() sequences from your test class.

…or from other sequences.

In reply to cgales:

could you please let me to know, what is the use of having or not having default_sequence?

In reply to ram_88:

There is no benefit to having a default_sequence. It is a legacy construct that exists to support legacy code. Not having a default_sequence used to result in an error, but it no longer does, so there is no problem not having one.

Starting sequences from the test or other sequences is preferred as it is easier to determine where sequences are started.

In reply to cgales:

Thanks