Set_type_override_by_type and package compilation

I have a design that is compiled based on packages. My top level test calls set_type_override_by_type to override a certain class with another. The top level test is compiled in a package. Now, if the same overridden class is used in other packages, does it get overridden by the set_type_override_by_typ used on the top level test?

In reply to samerh:

The UVM factory does not know anything about packages, only class types. The package name gets embedded in the class type. So the type override should apply everywhere.