Set_type_override_by_*

Hi,
Could someone please provide me some sample code for set_type_override_by_type() and set_type_override_by_name().

Actuall i need to know the difference of those two and where each should be used particularly.

In reply to srisid:
See:

https://verificationacademy.com/forums/uvm/settypeoverridebytype-vs-settypeoverridebyname