Sequence library

Hi ,

What are the disadvantages of using a sequence_library ?

Thanks In Adv

In reply to bl4ckp3rl :

I guess you mean the UVM sequence library.
There is no disadvantage using it.
One approach is to collect sequences belonging to certain verification aspects in a sequence library. Then you can start this library and all sequences belonging to it will be executed in random order.

In reply to chr_sue:

Q] add_typewide_sequence() or add_sequence() which method is preferred for registering sequence to sequence library ?

Thanks

In reply to bl4ckp3rl :

There is nothing prefered. In the UVM we have constructs doing nearly the same. This is common behavior.
I’m using add_sequence.

In reply to chr_sue:

Can we able to run multiple sequences parallelly using the sequence-library instead of back to back sequences to the agent