I am starting a sequence in configure_phase() with an intend to continue running until test ends (i.e through configure_phase() and main_phase())
Sample code as below and I expect the sequence to start in configure_phase() but continue running even after the phase ends. I rather see the error
m_sqr: SEQREQZMB: The task responsible for requesting a wait_for_grant on sequencer <> for sequence <''> has been killed, to avoid a deadlock the sequence will be removed from the arbitration queues at /tools/mentor/questasim/10.4c_2/questasim/verilog_src/uvm-1.1d/src/seq/uvm_sequencer_bas e.svh(709)
task configure_phase(uvm_phase phase)
phase.raise_objection(this);
fork
my_test_seq = new();
my_test_seq.start(my_sqr);
join_none
phase.drop_objection(this);
endtask
Any idea why the forked off sequence doesnt run beyond configure_phase() ? The sequence has a forever loop and is not stopped.