Scoreboard with multiple analysis export

In my scoreboard component, I have around 8 analysis exports that receive transactions from different monitors in the environment.
The monitors use write method to send the transactions.

Now, how can I implement the write method in scoreboard for 8 different exports?
Can I use TLM_FIFO to handle this or there is some other way?

In reply to verif_learner:

Seehttps://verificationacademy.com/verification-methodology-reference/uvm/docs_1.1b/html/files/macros/uvm_tlm_defines-svh.html#`uvm_analysis_imp_decl