Run_test

When i try to run top module with run_test(“test_name”); in it, I get an error "Unresolved reference to ‘run_test’ ". Not sure if its a problem in makefile or some changes needed in the code. If you have any information, please suggest.

I am trying the same top module in EDA Playground using VCS Simulator and it works fine.

In reply to Abijith Prakash:

Without seeing the code of the toplevel module of your UVM environment I can not answer your question.
Regarding the VCS, some simulators are tolerating certain code weaknesses.

In reply to chr_sue:

module top();
import pkg::*;

initial
begin
run_test(“ram_wr_test”);
end

endmodule

pkg contains:
package pkg;

import uvm_pkg::*;
`include “uvm_macros.svh”

include "tb_defs.sv" include “write_xtn.sv”
include "ram_wr_driver.sv" include “ram_wr_agent.sv”
include "ram_wr_agt_top.sv" include “ram_wr_test.sv”

endpackage

In reply to Abijith Prakash:

You have to import in the module top also the uvm_pkg, because this contains the information with run_test.

In reply to chr_sue:

Thank you very much.