Running UVM example on MODELSIM - ALTERA 10.1d

I want to compile and simulate this simple UVM example using Modelsim-Altera 10.1d tool.

module uvm_first_ex;
 import uvm_pkg::*;
 `include "uvm_macros.svh"
 initial begin
   `uvm_info("ID","WELCOME TO UVM",UVM_MEDIUM);
 end  
 endmodule:uvm_first_ex

I get error when I try to compile the above code. But I have heard that UVM is supported by Modelsim except randomization. It would be helpful to me if anybody can suggest me something on this. Ofcouse I can use EDA playground but I was wondering how to do it in Modelsim-Altera while the same can be done on EDA playground using modelsim tool itself.

This forum is not really for tool support, but NO ONE WILL BE ABLE TO HELP YOU RESOLVE AN ERROR THAT YOU DO NOT SHOW!

In reply to dave_59:

This is the error message I get :

** Error: G:/Verilog/uvm_ex1.sv(2): Could not find the package (uvm_pkg). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.
** Error: G:/Verilog/uvm_ex1.sv(3): Cannot open include file "uvm_macros.svh". ** Error: G:/Verilog/uvm_ex1.sv(5): (vlog-2163) Macro uvm_info is undefined.

** Error: G:/Verilog/uvm_ex1.sv(5): near “(”: syntax error, unexpected ‘(’

In reply to Abhyudha:

Most likely this is because the tool you are using does not have the UVM package pre-compiled. You will have to compile it yourself.

See http://go.mentor.com/uvm1-0-questa

In reply to dave_59:

How do I do it for Modelsim-Altera?