Running UVM commands from compilation to running testcases and other useful commands in questasim

Hello Sir, I’m facing certain problems in using UVM commands for questasim. I want a list of UVM commands for questasim in proper order from compilation to running test cases and how the basic commands are mixed to create bigger commands. Thanks in advance for your support

In reply to Rohan357:

This forum is not for tool specific questions. Please refer to the fine manual that is provided with Questasim. If you have additional questions, please contact your Mentor support team.