Running sequences parallelly on one sequencer

Hi,

How two sequence can run on a sequencer parllelly? I mean, If I run two sequence in fork-join, then both sequences will start executing together or one by one?

task run_phase(uvm_phase phase);
phase.raise_objection(this);
  fork
    seq_A.start(my_env.my_agent.my_seqr);
    seq_B.start(my_env.my_agent.my_seqr);
   join
phase.drop_objection(this);
endtask

in above code, seq_A, seq_B will get the access to driver at the same time or one by one ?

Thanks

In reply to vineet:

Only one at a time using the sequencer’s arbitration scheme determined by set_arbitration(). In this case, the order is not defined.