Rule: Never call super.build_phase() from any component extended from a UVM base class

Rule: Never call super.build_phase() from any component extended from a UVM base class.
Hi, can anyone explain this is UVM guidelines point?
I tried not getting any error if I did not use build phase
explain me required or not?

In reply to Ram _p:

This requirement should be tied to the requirement never to use the field automation macros.

umm_component::build_phase calls umm_component::apply_config_settings, which is unnecessary overhead if you are not using the field macros.