Reset sequence

Hi, i am new to UVM, Can anyone tell me how implement reset sequence and how to assert and handle on the fly reset.

Thanks