Reset Phase was not started

Hi,

I have question about UVM phases and hope that you guys can educate me on this issue.

Scenario:
My test is running until run-time phases and it hang in this stage due to the number of objections for clean-up phases didn’t match which is expected. After reviewing the number of objections been raised, I found out that only run phase been execute while I’m expecting other run-time phases executed in parallel like pre_reset_reset and next phase in run-time phase.

Questions:
1.There is no objection’s condition in the reset phase and pre_reset_phase is empty, therefore I’m expecting that reset_phase will be run in parallel with run phase. Is there any other items/phase that I overlook?

FYI, all the phases before run time phases dropped successfully

In reply to Saffiq_verif:

Could you please show some code to demonstrate your problem.
Are you using run_phase only or are you also using the sub_phases like reset_phase etc.