In reg_model hw_reset_sequence have resource_db

Hi,
In Register model i have written hw_reset_sequence so in that few registers have write only and few registers have read only and also few registers have same offset address. So,that type of registers would not be tested,so i set that registers as a NO_REG_TEST in uvm_resource_db. Can i set that in uvm_config_db? while iam trying to set NO_REG_TEST iam getting errors.Can u plz help me…

Thanks in advance…