Registration macros

We use registration macros like following to register our classes

`uvm_component_utils() 
`uvm_object_utils()

But what exactly happens when compiler execute these pieces of codes?

In reply to bachan21:

See:

https://verificationacademy.com/forums/uvm/uvm-utility-macros#reply-68981